search for books and compare prices
integrated circuits verification matches 41 work(s)
at beginning | displaying 1 to 25 | next 16 >
Jump to start at |
show results in order: alphabetically | oldest to newest | newest to oldest
Cover for 9780387261430 Cover for 9781489973368 Cover for 9780387286013 Cover for 9781461498360 Cover for 9781441909671 Cover for 9781489985132 Cover for 9780387353135 Cover for 9781402080234 Cover for 9781475779264 Cover for 9780898382464 Cover for 9781461291978 Cover for 9780792397465 Cover for 9780387310046 Cover for 9781475770360 Cover for 9781402076190 Cover for 9781461350941 Cover for 9781402071034 Cover for 9781461353959 Cover for 9780792377665 Cover for 9781402074011 Cover for 9781461350125 Cover for 9781475783445 Cover for 9780792381846 Cover for 9781461376064 Cover for 9789048132812 Cover for 9789400730939 Cover for 9781441993588 Cover for 9780387717388 Cover for 9781441944085 Cover for 9780387292212 Cover for 9781441939784 Cover for 9789048123599 Cover for 9780521859721 Cover for 9780387270364 Cover for 9781461407140 Cover for 9780387366418 Cover for 9781402080258 Cover for 9780130867193 Cover for 9780387739922 Cover for 9780387691664 Cover for 9781441943415 Cover for 9781402047572 Cover for 9780387255439 Cover for 9781846280238
cover image for 9781489973368
Product Description: It is widely acknowledged that the cost of validation and testing comprises a s- nificant percentage of the overall development costs for electronic systems today, and is expected to escalate sharply in the future. Many studies have shown that up to 70% of the design development time and resources are spent on functional verification...read more

Hardcover:

9780387261430 | Springer-Verlag New York Inc, July 30, 2005, cover price $149.00 | About this edition: It is widely acknowledged that the cost of validation and testing comprises a s- nificant percentage of the overall development costs for electronic systems today, and is expected to escalate sharply in the future.

Paperback:

9781489973368 | Springer Verlag, December 4, 2014, cover price $149.00 | About this edition: It is widely acknowledged that the cost of validation and testing comprises a s- nificant percentage of the overall development costs for electronic systems today, and is expected to escalate sharply in the future.

cover image for 9781461498360
Product Description: This book on Effective Functional Verification will enable the reader to get a jump start on planning and executing a verification plan using the concepts presented. Case studies are given with analysis and there is coverage of planning and closure aspects.

Hardcover:

9780387286013 | Springer Verlag, July 31, 2006, cover price $179.00 | About this edition: Effective Functional Verification is organized into 4 parts.

Paperback:

9781461498360 | Springer Verlag, December 1, 2014, cover price $179.00 | About this edition: This book on Effective Functional Verification will enable the reader to get a jump start on planning and executing a verification plan using the concepts presented.

cover image for 9781489985132
Product Description: This insightful book will help both novice and experienced verification engineers master the Open Verification Methodology through extensive examples. It describes basic verification principles and explains the essentials of transaction-level modeling.

Hardcover:

9781441909671 | Springer Verlag, July 31, 2009, cover price $179.00 | About this edition: Functional verification is an art as much as a science.

Paperback:

9781489985132 | Springer Verlag, November 26, 2014, cover price $179.00 | About this edition: This insightful book will help both novice and experienced verification engineers master the Open Verification Methodology through extensive examples.

Hardcover:

9780387353135 | Springer-Verlag New York Inc, August 1, 2006, cover price $179.00

Paperback:

9781489985842 | Springer Verlag, April 14, 2014, cover price $130.00

cover image for 9781475779264
Product Description: I am glad to see this new book on the e language and on verification. I am especially glad to see a description of the e Reuse Methodology (eRM). The main goal of verification is, after all, finding more bugs quicker using given resources, and verification reuse (module-to-system, old-system-to-new-system etc...read more

Hardcover:

9781402080234, titled "The E Hardware Verification Language:  " | Kluwer Academic Pub, September 30, 2004, cover price $249.00 | About this edition: I am glad to see this new book on the e language and on verification.

Paperback:

9781475779264, titled "The E Hardware Verification Language" | Reprint edition (Springer Verlag, March 23, 2013), cover price $209.00 | About this edition: I am glad to see this new book on the e language and on verification.

cover image for 9781461291978
Product Description: VLSI Specification, Verification and Synthesis Proceedings of a workshop held in Calgary from 12-16 January 1987. The collection of papers in this book represents some of the discussions and presentations at a workshop on hardware verification held in Calgary, January 12-16 1987...read more
By Graham Birtwistle (editor) and P. A. Subrahmanyam (editor)

Hardcover:

9780898382464 | Kluwer Academic Pub, March 1, 1988, cover price $202.00 | About this edition: Book by

Paperback:

9781461291978 | Reprint edition (Springer Verlag, August 14, 2013), cover price $99.00 | About this edition: VLSI Specification, Verification and Synthesis Proceedings of a workshop held in Calgary from 12-16 January 1987.

cover image for 9781475770360

Hardcover:

9780792397465 | Kluwer Academic Pub, June 1, 1996, cover price $99.00

Paperback:

9781475770360 | Reprint edition (Springer Verlag, March 18, 2013), cover price $89.95
9780387310046 | Springer Verlag, March 1, 2006, cover price $89.99

cover image for 9781461350941
Product Description: Verification isjob one in today's modem design process. Statistics tell us that the verification process takes up a majority of the overall work. Chips that come back dead on arrival scream that verification is at fault for not finding the mistakes...read more

Hardcover:

9781402076190 | Kluwer Academic Pub, October 1, 2003, cover price $209.00 | About this edition: Verification isjob one in today's modem design process.

Paperback:

9781461350941 | Reprint edition (Springer Verlag, November 5, 2012), cover price $199.00 | About this edition: Verification isjob one in today's modem design process.

cover image for 9781461353959
Product Description: This volume contains two distinct, but related, approaches to the verification problem, both based on symbolic simulation. It describes new ideas that enable the use of formal methods, specifically symbolic simulation, in validating commercial hardware designs of remarkable complexity...read more

Hardcover:

9781402071034 | Kluwer Academic Pub, May 1, 2002, cover price $149.00 | About this edition: This volume contains two distinct, but related, approaches to the verification problem, both based on symbolic simulation.

Paperback:

9781461353959 | Spiral-bound edition (Springer Verlag, October 23, 2012), cover price $149.00 | About this edition: This volume contains two distinct, but related, approaches to the verification problem, both based on symbolic simulation.

cover image for 9781475783445
On Third World streets or First World televisions, Latin America's children are seen but seldom listened to. Child labourers, street children and shanty town kids are portrayed in the West as helpless victims, passive, big-eyed and hungry, besieged by poverty and violence. However, this text argues that if you talk to the children themselves a different picture emerges - one of children as active, energetic and resourceful fighters, struggling to improve their lives, get an education, and earn a living. The book explores the lives of children through their own eyes and voices. It argues that child participation is both a right and a necessity if child-centred social programmes are to succeed. More broadly, harnessing the energy of children could help the region tackle pressing environmental and social problems. Duncan Green talks to children across the continent, watching them at work and play, on the streets or in the home. He interviews children in Brazil, Jamaica, Peru, Columbia, Honduras and Nicaragua, as well as teachers, welfare workers and other adults involved in their lives. He provides comprehensive background research to support his findings, while photographs illustrate the text.

Hardcover:

9781402074011 | 2 edition (Kluwer Academic Pub, April 1, 2003), cover price $219.00 | About this edition: On Third World streets or First World televisions, Latin America's children are seen but seldom listened to.
9780792377665 | Kluwer Academic Pub, January 1, 2000, cover price $108.00

Paperback:

9781475783445 | Springer Verlag, April 14, 2013, cover price $99.00
9781461350125 | 2 reprint edition (Springer Verlag, October 21, 2012), cover price $219.00

cover image for 9781461376064
Formal Equivalence Checking and Design Debugging covers two major topics in design verification: logic equivalence checking and design debugging. The first part of the book reviews the design problems that require logic equivalence checking and describes the underlying technologies that are used to solve them. Some novel approaches to the problems of verifying design revisions after intensive sequential transformations such as retiming are described in detail. The second part of the book gives a thorough survey of previous and recent literature on design error diagnosis and design error correction. This part also provides an in-depth analysis of the algorithms used in two logic debugging software programs, ErrorTracer and AutoFix, developed by the authors. From the Foreword: `With the adoption of the static sign-off approach to verifying circuit implementations the application-specific integrated circuit (ASIC) industry will experience the first radical methodological revolution since the adoption of logic synthesis. Equivalence checking is one of the two critical elements of this methodological revolution. This book is timely for either the designer seeking to better understand the mechanics of equivalence checking or for the CAD researcher who wishes to investigate well-motivated research problems such as equivalence checking of retimed designs or error diagnosis in sequential circuits.' Kurt Keutzer, University of California, Berkeley

Hardcover:

9780792381846 | Kluwer Academic Pub, June 1, 1998, cover price $199.00 | About this edition: Formal Equivalence Checking and Design Debugging covers two major topics in design verification: logic equivalence checking and design debugging.

Paperback:

9781461376064 | Reprint edition (Springer Verlag, September 30, 2012), cover price $199.00

cover image for 9789400730939
Product Description: Model based testing is the most powerful technique for testing hardware and software systems. This book describes the use of models at all the levels of hardware testing. It includes a description of fault models for nanoscaled CMOS technology.

Hardcover:

9789048132812 | Springer Verlag, December 1, 2009, cover price $159.00 | About this edition: Model based testing is the most powerful technique for testing hardware and software systems.

Paperback:

9789400730939 | Springer Verlag, February 25, 2012, cover price $159.00 | About this edition: Model based testing is the most powerful technique for testing hardware and software systems.

cover image for 9781441944085
Product Description: This book focuses on Object Orientation Programming and its applications for C++ and SystemVerilog. It includes discussion on why and when certain features should be used. The book also includes an open source verification framework as well as examples.

Hardcover:

9780387717388 | Springer Verlag, May 22, 2007, cover price $189.00 | About this edition: Verification is increasingly complex, and SystemVerilog is one of the languages that the verification community is turning to.

Paperback:

9781441944085 | Springer Verlag, November 4, 2010, cover price $189.00 | About this edition: This book focuses on Object Orientation Programming and its applications for C++ and SystemVerilog.

cover image for 9781441939784

Hardcover:

9780387292212 | Springer-Verlag New York Inc, February 28, 2006, cover price $199.00

Paperback:

9781441939784 | Springer Verlag, October 29, 2010, cover price $199.00

cover image for 9789048123599
Product Description: In Test Pattern Generation using Boolean Proof Engines, we give an introduction to ATPG. The basic concept and classical ATPG algorithms are reviewed. Then, the formulation as a SAT problem is considered. As the underlying engine, modern SAT solvers and their use on circuit related problems are comprehensively discussed...read more

Hardcover:

9789048123599 | Springer Verlag, May 1, 2009, cover price $159.00 | About this edition: In Test Pattern Generation using Boolean Proof Engines, we give an introduction to ATPG.

cover image for 9780521859721
Product Description: Improve design efficiency and reduce costs with this practical guide to formal and simulation-based functional verification. Giving you a theoretical and practical understanding of the key issues involved, expert authors including Wayne Wolf and Dan Gajski explain both formal techniques (model checking, equivalence checking) and simulation-based techniques (coverage metrics, test generation)...read more
By Ian G. Harris (editor)

Hardcover:

9780521859721 | 1 edition (Cambridge Univ Pr, August 31, 2009), cover price $150.00 | About this edition: Improve design efficiency and reduce costs with this practical guide to formal and simulation-based functional verification.

cover image for 9781461407140
SystemVerilog for Verification teaches the reader how to use the power of the new SystemVerilog testbench constructs plus methodology without requiring in-depth knowledge of Object Oriented Programming or Constrained Random Testing. The book covers the SystemVerilog verification constructs such as classes, program blocks, C interface, randomization, and functional coverage. SystemVerilog for Verification also reviews some design topics such as interfaces and array types. There are extensive code examples and detailed explanations. The book will be based on Synopsys courses, seminars, and tutorials that the author developed for SystemVerilog, Vera, RVM, and OOP. Concepts will be built up chapter-by-chapter, and detailed testbench using these topics will be presented in the final chapter. SystemVerilog for Verification concentrates on the best practices for verifying your design using the power of the language.  

Hardcover:

9781461407140 | 3 edition (Springer-Verlag New York Inc, February 14, 2012), cover price $99.00
9780387270364 | Springer-Verlag New York Inc, August 30, 2006, cover price $135.00 | About this edition: SystemVerilog for Verification teaches the reader how to use the power of the new SystemVerilog testbench constructs plus methodology without requiring in-depth knowledge of Object Oriented Programming or Constrained Random Testing.

Hardcover:

9780471704492 | Wiley-Interscience, March 7, 2008, cover price $134.00

Miscellaneous:

9780470253397 | Wiley-Interscience, March 28, 2008, cover price $105.00

Miscellaneous:

9780470253410 | Onl edition (John Wiley & Sons Inc, March 21, 2008), cover price $94.95

cover image for 9780387366418
Product Description: This book presents formal testplanning guidelines with examples focused on creating assertion-based verification IP. It demonstrates a systematic process for formal specification and formal testplanning, and also demonstrates effective use of assertions languages beyond the traditional language construct discussions Note that there many books published on assertion languages (such as SystemVerilog assertions and PSL)...read more

Hardcover:

9780387366418 | Springer-Verlag New York Inc, December 30, 2007, cover price $179.00 | About this edition: This book presents formal testplanning guidelines with examples focused on creating assertion-based verification IP.

cover image for 9780387739922
Product Description: This book addresses a means of quantitatively assessing functional verification progress. Without this process, design and verification engineers, and their management, are left guessing whether or not they have completed verifying the device they are designing...read more

Hardcover:

9781402080258, titled "Functional Verification Coverage Measurement And Analysis:  " | Kluwer Academic Pub, July 30, 2004, cover price $209.00

Paperback:

9780387739922, titled "Functional Verification Coverage Measurement and Analysis" | Springer Verlag, December 1, 2007, cover price $129.00 | About this edition: This book addresses a means of quantitatively assessing functional verification progress.
9780130867193, titled "The Complete Idiot's Guide to Buying & Selling a Business for Canadians" | Prentice Hall of Canada Ltd, April 1, 2001, cover price $27.95 | also contains The Complete Idiot''s Guide to Buying & Selling a Business for Canadians

cover image for 9780387691664
Product Description: This book provides an engineering insight into how to provide a scalable and robust verification solution with ever increasing design complexity and sizes. It describes SAT-based model checking approaches and gives engineering details on what makes model checking practical...read more

Hardcover:

9780387691664 | Springer-Verlag New York Inc, July 1, 2007, cover price $189.00 | About this edition: This book provides an engineering insight into how to provide a scalable and robust verification solution with ever increasing design complexity and sizes.

Paperback:

9781441943415 | Springer Verlag, May 22, 2007, cover price $189.00 | About this edition: This book provides an engineering insight into how to provide a scalable and robust verification solution with ever increasing design complexity and sizes.

cover image for 9781402047572
Product Description: Integrating formal property verification (FPV) into an existing design process raises several interesting questions. This book develops the answers to these questions and fits them into a roadmap for formal property verification – a roadmap that shows how to glue FPV technology into the traditional validation flow...read more

Hardcover:

9781402047572 | Springer-Verlag New York Inc, August 15, 2006, cover price $159.00 | About this edition: Integrating formal property verification (FPV) into an existing design process raises several interesting questions.

cover image for 9780387255439
Product Description: Describes a small verification library with a concentration on user adaptability such as re-useable components, portable Intellectual Property, and co-verification.Takes a realistic view of reusability and distills lessons learned down to a tool box of techniques and guidelines.

Hardcover:

9780387255439 | Springer-Verlag New York Inc, August 1, 2006, cover price $209.00 | About this edition: Describes a small verification library with a concentration on user adaptability such as re-useable components, portable Intellectual Property, and co-verification.

cover image for 9781846280238
Product Description: Using the book and the software provided with it, the reader can build his/her own tester arrangement to investigate key aspects of analog-, digital- and mixed system circuits   Plan of attack based on traditional testing, circuit design and circuit manufacture allows the reader to appreciate a testing regime from the point of view of all the participating interests   Worked examples based on theoretical bookwork, practical experimentation and simulation exercises teach the reader how to test circuits thoroughly and effectively...read more

Paperback:

9781846280238 | Springer-Verlag New York Inc, December 30, 2005, cover price $119.00 | About this edition: Using the book and the software provided with it, the reader can build his/her own tester arrangement to investigate key aspects of analog-, digital- and mixed system circuits   Plan of attack based on traditional testing, circuit design and circuit manufacture allows the reader to appreciate a testing regime from the point of view of all the participating interests   Worked examples based on theoretical bookwork, practical experimentation and simulation exercises teach the reader how to test circuits thoroughly and effectively

at beginning | displaying 1 to 25 | next 16 >