search for books and compare prices
Rolf Drechsler has written 20 work(s)
Search for other authors with the same name
displaying 1 to 20 | at end
show results in order: alphabetically | oldest to newest | newest to oldest
Cover for 9783319319353 Cover for 9783319086989 Cover for 9789048192540 Cover for 9789400795075 Cover for 9781441999757 Cover for 9781489988478 Cover for 9781489988478 Cover for 9789048195787 Cover for 9789400794252 Cover for 9789401099004 Cover for 9781402005862 Cover for 9781402070440 Cover for 9781441952790 Cover for 9781402072765 Cover for 9781461353621 Cover for 9780792374336 Cover for 9781461355472 Cover for 9789048136308 Cover for 9789400791923 Cover for 9783540787600 Cover for 9781402065354 Cover for 9789048176625 Cover for 9780387254531 Cover for 9781441937964 Cover for 9783540213789 Cover for 9781402077210 Cover for 9780201116700 Cover for 9781441954206 Cover for 9780792378587 Cover for 9780070010604 Cover for 9781441949851 Cover for 9781441950406
cover image for 9783319319353
Product Description: This book presents a new optimization flow for quantum circuits realization. At the reversible level, optimization algorithms are presented to reduce the quantum cost. Then, new mapping approaches to decompose reversible circuits to quantum circuits using different quantum libraries are described...read more

Hardcover:

9783319319353 | Springer Verlag, May 28, 2016, cover price $99.00 | About this edition: This book presents a new optimization flow for quantum circuits realization.

cover image for 9783319086989
Product Description: This book introduces a new level of abstraction that closes the gap between the textual specification of embedded systems and the executable model at the Electronic System Level (ESL). Readers will be enabled to operate at this new, Formal Specification Level (FSL), using models which not only allow significant verification tasks in this early stage of the design flow, but also can be extracted semi-automatically from the textual specification in an interactive manner...read more

Hardcover:

9783319086989 | Springer Verlag, December 14, 2014, cover price $99.00 | About this edition: This book introduces a new level of abstraction that closes the gap between the textual specification of embedded systems and the executable model at the Electronic System Level (ESL).

cover image for 9789400795075
Product Description: Debugging becomes more and more the bottleneck to chip design productivity, especially while developing modern complex integrated circuits and systems at the Electronic System Level (ESL). Today, debugging is still an unsystematic and lengthy process...read more

Hardcover:

9789048192540 | 1 edition (Springer Verlag, July 29, 2010), cover price $159.00 | About this edition: Debugging becomes more and more the bottleneck to chip design productivity, especially while developing modern complex integrated circuits and systems at the Electronic System Level (ESL).

Paperback:

9789400795075 | Springer Verlag, October 28, 2014, cover price $159.00 | About this edition: Debugging becomes more and more the bottleneck to chip design productivity, especially while developing modern complex integrated circuits and systems at the Electronic System Level (ESL).

cover image for 9781489988478
Product Description: This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT).  A fast and highly fault efficient SAT-based ATPG framework is presented which is also able to generate high-quality delay tests such as robust path delay tests, as well as tests with long propagation paths to detect small delay defects...read more

Hardcover:

9781441999757, titled "High Quality Test Pattern Generation and Boolean Satisfiability: Robust Algorithms Using Boolean Satisfiability" | Springer Verlag, January 31, 2012, cover price $129.00 | About this edition: This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT).

Paperback:

9781489988478 | Springer Verlag, October 20, 2014, cover price $129.00 | also contains High Quality Test Pattern Generation and Boolean Satisfiability | About this edition: This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT).

cover image for 9781489988478
Product Description: This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT).  A fast and highly fault efficient SAT-based ATPG framework is presented which is also able to generate high-quality delay tests such as robust path delay tests, as well as tests with long propagation paths to detect small delay defects...read more

Paperback:

9781489988478 | Springer Verlag, October 20, 2014, cover price $129.00 | also contains High Quality Test Pattern Generation and Boolean Satisfiability | About this edition: This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT).

cover image for 9789400794252
Product Description: The development of computing machines found great success in the last decades. But the ongoing miniaturization of integrated circuits will reach its limits in the near future. Shrinking transistor sizes and power dissipation are the major barriers in the development of smaller and more powerful circuits...read more

Hardcover:

9789048195787 | Springer Verlag, September 29, 2010, cover price $149.00 | About this edition: The development of computing machines found great success in the last decades.

Paperback:

9789400794252 | Springer Verlag, October 18, 2014, cover price $149.00 | About this edition: The development of computing machines found great success in the last decades.

cover image for 9789401099004
Product Description: The design process of digital circuits is often carried out in individual steps, like logic synthesis, mapping, and routing. Since originally the complete process was too complex, it has been split up in several - more or less independen- phases...read more

Paperback:

9789401099004 | Springer-Verlag New York Inc, December 16, 2012, cover price $99.00 | About this edition: The design process of digital circuits is often carried out in individual steps, like logic synthesis, mapping, and routing.

cover image for 9781441952790
Product Description: The design process of digital circuits is often carried out in individual steps, like logic synthesis, mapping, and routing. Since originally the complete process was too complex, it has been split up in several - more or less independen- phases...read more

Hardcover:

9781402070440 | Kluwer Academic Pub, July 1, 2002, cover price $139.00 | About this edition: The design process of digital circuits is often carried out in individual steps, like logic synthesis, mapping, and routing.
9781402005862 | Kluwer Academic Pub, June 1, 2002, cover price $99.00 | About this edition: The design process of digital circuits is often carried out in individual steps, like logic synthesis, mapping, and routing.

Paperback:

9781441952790 | Springer Verlag, July 1, 2002, cover price $139.00 | About this edition: The design process of digital circuits is often carried out in individual steps, like logic synthesis, mapping, and routing.

cover image for 9781461353621
Product Description: Evolutionary Algorithms for Embedded System Design describes how Evolutionary Algorithm (EA) concepts can be applied to circuit and system design - an area where time-to-market demands are critical. EAs create an interesting alternative to other approaches since they can be scaled with the problem size and can be easily run on parallel computer systems...read more
By Nicole Drechsler (editor) and Rolf Drechsler (editor)

Hardcover:

9781402072765 | Kluwer Academic Pub, December 1, 2002, cover price $199.00 | About this edition: Evolutionary Algorithms for Embedded System Design describes how Evolutionary Algorithm (EA) concepts can be applied to circuit and system design - an area where time-to-market demands are critical.

Paperback:

9781461353621 | Reprint edition (Springer Verlag, October 23, 2012), cover price $189.00 | About this edition: Evolutionary Algorithms for Embedded System Design describes how Evolutionary Algorithm (EA) concepts can be applied to circuit and system design - an area where time-to-market demands are critical.

cover image for 9781461355472
Product Description: Spectral Techniques in VLSI CAD have become a subject of renewed interest in the design automation community due to the emergence of new and efficient methods for the computation of discrete function spectra. In the past, spectral computations for digital logic were too complex for practical implementation...read more

Hardcover:

9780792374336 | Kluwer Academic Pub, July 1, 2001, cover price $229.00

Paperback:

9781461355472 | Reprint edition (Springer-Verlag New York Inc, October 24, 2012), cover price $229.00 | About this edition: Spectral Techniques in VLSI CAD have become a subject of renewed interest in the design automation community due to the emergence of new and efficient methods for the computation of discrete function spectra.

Product Description: This book provides a comprehensive and self-contained introduction to reversible and quantum circuits, with which power emissions can be reduced or eliminated and processing can be performed exponentially faster compared to conventional methods...read more

Hardcover:

9781461407935 | Springer Verlag, January 2, 2016, cover price $129.00 | About this edition: This book provides a comprehensive and self-contained introduction to reversible and quantum circuits, with which power emissions can be reduced or eliminated and processing can be performed exponentially faster compared to conventional methods.

cover image for 9789400791923
Product Description: A quality-driven design and verification flow for digital systems is developed and presented in Quality-Driven SystemC Design. Two major enhancements characterize the new flow: First, dedicated verification techniques are integrated which target the different levels of abstraction...read more

Hardcover:

9789048136308 | 1 edition (Springer Verlag, January 1, 2010), cover price $149.00 | About this edition: A quality-driven design and verification flow for digital systems is developed and presented in Quality-Driven SystemC Design.
9780155071261, titled "Beneath the Mask: An Introduction to the Theories of Personality" | Harcourt College Pub, January 1, 1999, cover price $132.00 | also contains Beneath the Mask: An Introduction to the Theories of Personality

Paperback:

9789400791923 | Springer Verlag, October 16, 2014, cover price $149.00 | About this edition: A quality-driven design and verification flow for digital systems is developed and presented in Quality-Driven SystemC Design.

cover image for 9789048176625
Product Description: The size of technically producible integrated circuits increases continuously, but the ability to design and verify these circuits does not keep up. Therefore today’s design flow has to be improved. Using a visionary approach, this book analyzes the current design methodology and verification methodology, a number of deficiencies are identified and solutions suggested...read more

Hardcover:

9781402065354 | Springer Verlag, December 4, 2007, cover price $149.00 | About this edition: The size of technically producible integrated circuits increases continuously, but the ability to design and verify these circuits does not keep up.

Paperback:

9789048176625 | Springer Verlag, December 4, 2007, cover price $149.00 | About this edition: The size of technically producible integrated circuits increases continuously, but the ability to design and verify these circuits does not keep up.
9780130716224, titled "Beginners Guide to the TI 99 4a Home Computer" | Prentice Hall, May 1, 1984, cover price $15.95 | also contains Beginners Guide to the TI 99 4a Home Computer

cover image for 9780387254531

Hardcover:

9780387254531 | Springer Verlag, August 1, 2005, cover price $199.00

Paperback:

9781441937964 | Springer Verlag, August 1, 2005, cover price $199.00

cover image for 9781441954206
Product Description: Advanced Formal Verification shows the latest developments in the verification domain from the perspectives of the user and the developer. World leading experts describe the underlying methods of today's verification tools and describe various scenarios from industrial practice...read more

Hardcover:

9781402077210 | Kluwer Academic Pub, May 1, 2004, cover price $199.00 | About this edition: Advanced Formal Verification shows the latest developments in the verification domain from the perspectives of the user and the developer.

Paperback:

9781441954206 | Springer Verlag, May 1, 2004, cover price $199.00 | About this edition: Advanced Formal Verification shows the latest developments in the verification domain from the perspectives of the user and the developer.
9780201116700, titled "Essentials of Organic Chemistry" | Addison-Wesley, September 1, 1985, cover price $17.00 | also contains Essentials of Organic Chemistry

cover image for 9781441949851
Product Description: Formal verification has become one of the most important steps in circuit design. Since circuits can contain several million transistors, verification of such large designs becomes more and more difficult. Pure simulation cannot guarantee the correct behavior and exhaustive simulation is often impossible...read more

Hardcover:

9780792378587 | Kluwer Academic Pub, July 1, 2000, cover price $219.00 | About this edition: Formal verification has become one of the most important steps in circuit design.

Paperback:

9781441949851 | Springer Verlag, July 1, 2000, cover price $219.00 | About this edition: Formal verification has become one of the most important steps in circuit design.
9780070010604, titled "Shaping Tomorrow's System: Contemporary Issues in Criminal Justice" | McGraw-Hill College, September 1, 1995, cover price $36.95 | also contains Shaping Tomorrow''s System: Contemporary Issues in Criminal Justice

In VLSI CAD, difficult optimization problems have to be solved on a constant basis. Various optimization techniques have been proposed in the past. While some of these methods have been shown to work well in applications and have become somewhat established over the years, other techniques have been ignored. Recently, there has been a growing interest in optimization algorithms based on principles observed in nature, termed Evolutionary Algorithms (EAs). Evolutionary Algorithms in VLSI CAD presents the basic concepts of EAs, and considers the application of EAs in VLSI CAD. It is the first book to show how EAs could be used to improve IC design tools and processes. Several successful applications from different areas of circuit design, like logic synthesis, mapping and testing, are described in detail. Evolutionary Algorithms in VLSI CAD consists of two parts. The first part discusses basic principles of EAs and provides some easy-to-understand examples. Furthermore, a theoretical model for multi-objective optimization is presented. In the second part a software implementation of EAs is supplied together with detailed descriptions of several EA applications. These applications cover a wide range of VLSI CAD, and different methods for using EAs are described. Evolutionary Algorithms in VLSI CAD is intended for CAD developers and researchers as well as those working in evolutionary algorithms and techniques supporting modern design tools and processes. (view table of contents)

Hardcover:

9780792381686 | Kluwer Academic Pub, June 1, 1998, cover price $209.00

Paperback:

9781441950406 | Springer Verlag, June 1, 1998, cover price $209.00 | About this edition: In VLSI CAD, difficult optimization problems have to be solved on a constant basis.

displaying 1 to 20 | at end